Browse by Fellow

Up a level
Export as [feed] Atom [feed] RSS 1.0 [feed] RSS 2.0
Number of items: 123.

Ain, Antara ; Dasgupta, Pallab (2015) Online prognosis for priority power supply restoration Sustainable Energy, Grids and Networks, 2 . pp. 61-68. ISSN 2352-4677

Ghosh, Kamalesh ; Dasgupta, Pallab ; Ramesh, S. (2015) Automated planning as an early verification tool for distributed control Journal of Automated Reasoning, 54 (1). pp. 31-68. ISSN 0168-7433

Raha, Rajorshee ; Dey, Soumyajit ; Dasgupta, Pallab (2015) Adaptive sharing of sampling rates among software based controllers In: 2015 IEEE International Symposium on Intelligent Control (ISIC), 21-23 September 2015, Sydney, Australia.

Ghosh, Sumana ; Dasgupta, Pallab (2015) Formal methods for pattern based reliability analysis in embedded systems In: 2015 28th International Conference on VLSI Design (VLSID), 3-7 January 2015, Bangalore, India.

Ain, Antara ; Dasgupta, Pallab (2015) Monitoring AMS simulation: from assertions to features In: 2015 28th International Conference on VLSI Design (VLSID), 3-7 January 2015, Bangalore, India.

Dasgupta, Pallab ; Srivas, Mandayam K. ; Mukherjee, Rajdeep (2014) Formal hardware/software co-verification of embedded power controllers IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 33 (12). pp. 2025-2029. ISSN 0278-0070

da Costa, Antonio Anastasio Bruto ; Dasgupta, Pallab (2014) Formal interpretation of assertion-based features on AMS designs IEEE Design & Test, 32 (1). pp. 9-17. ISSN 2168-2356

Raha, Rajorshee ; Hazra, Aritra ; Mondal, Akash ; Dey, Soumyajit ; Chakrabarti, Partha Pratim ; Dasgupta, Pallab (2014) Synthesis of sampling modes for adaptive control In: 2014 IEEE International Conference on Control System, Computing and Engineering (ICCSCE), 28-30 November 2014, Penang, Malaysia.

Dixit, Manoj G. ; Ramesh, S. ; Dasgupta, Pallab (2014) Time-budgeting: a component based development methodology for real-time embedded systems Formal Aspects of Computing, 26 (3). pp. 591-621. ISSN 0934-5043

Mitra, Srobona ; Banerjee, Ansuman ; Dasgupta, Pallab ; Kumar, Harish (2013) Counterexample ranking using mined invariants IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 32 (12). pp. 1978-1991. ISSN 0278-0070

Mukherjee, Rajdeep ; Ghosh, Priyankar ; Dasgupta, Pallab ; Pal, Ajit (2013) An integrated approach for fine-grained power and temperature management during high-level synthesis Journal of Low Power Electronics, 9 (3). pp. 350-362. ISSN 1546-1998

Mitra, Srobona ; Banerjee, Ansuman ; Dasgupta, Pallab ; Ghosh, Priyankar ; Kumar, Harish (2013) Formal guarantees for localized bug fixes IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 32 (8). pp. 1274-1287. ISSN 0278-0070

Santhosh Prabhu, M ; Hazra, Aritra ; Dasgupta, Pallab (2013) Reliability guarantees in automata-based scheduling for embedded control software IEEE Embedded Systems Letters, 5 (2). pp. 17-20. ISSN 1943-0663

Mukherjee, Rajdeep ; Ghosh, Priyankar ; Dasgupta, Pallab ; Pal, Ajit (2013) A multi-objective perspective for operator scheduling using finegrained DVS architectures International Journal of VLSI Design & Communication Systems, 4 (1). pp. 105-122. ISSN 0976-1527

Hazra, Aritra ; Goyal, Sahil ; Dasgupta, Pallab ; Pal, Ajit (2013) Formal verification of architectural power intent IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 21 (1). pp. 78-91. ISSN 1063-8210

Banerjee, Kajori ; Santhosh Prabhu, M. ; Dasgupta, Pallab (2013) Debugging assertion failures in software controllers using a reference model In: 6th India Software Engineering Conference - ISEC 2013, 21-23 February 2013, New Delhi, India.

Hazra, Aritra ; Ghosh, Priyankar ; Vadlamudi, S. G. ; Chakrabarti, P. P. ; Dasgupta, Pallab (2013) Formal methods for early analysis of functional reliability in component-based embedded applications IEEE Embedded Systems Letters, 5 (1). pp. 8-11. ISSN 1943-0663

Mukherjee, Rajdeep ; Dasgupta, Pallab ; Pal, Ajit ; Mukherjee, Subhankar (2013) Formal verification of hardware/software power management strategies In: 2013 26th International Conference on VLSI Design and 2013 12th International Conference on Embedded Systems (VLSID), 5-10 January 2013, Pune, India.

Santhosh Prabhu, M. ; Hazra, Aritra ; Dasgupta, Pallab ; Chakrabarti, P. P. (2013) Handling fault detection latencies in automata-based scheduling for embedded control software In: 2013 IEEE Conference on Computer Aided Control System Design (CACSD), 28-30 August 2013, Hyderabad, India.

Santhosh Prabhu, M ; Dasgupta, Pallab (2013) Model checking controllers with predicate inputs In: 2013 26th International Conference on VLSI Design and 2013 12th International Conference on Embedded Systems (VLSID), 5-10 January 2013, Pune, India.

Mukherjee, Rajdeep ; Mukherjee, Subhankar ; Dasgupta, Pallab (2013) Model checking of global power management strategies in software with temporal logic properties In: 6th India Software Engineering Conference - ISEC 2013, 21-23 February 2013, New Delhi, India.

Mukherjee, Rajdeep ; Ghosh, Priyankar ; Dasgupta, Pallab ; Pal, Ajit (2013) Operator scheduling revisited: a multi-objective perspective for fine-grained DVS architecture In: Second International Conference on Advances in Computing and Information Technology (ACITY), 2012, July 13-15, 2012, Chennai, India.

Hazra, Aritra ; Mukherjee, Rajdeep ; Dasgupta, Pallab ; Pal, Ajit ; Harer, Kevin M. ; Banerjee, Ansuman ; Mukherjee, Subhankar (2013) POWER-TRUCTOR: an integrated tool flow for formal verification and coverage of architectural power intent IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 32 (11). pp. 1801-1813. ISSN 0278-0070

Ain, Antara ; Mukherjee, Subhankar ; Dasgupta, Pallab ; Mukhopadhyay, Siddhartha (2013) Post-silicon debugging of PMU integration errors using behavioral models Integration, the VLSI Journal, 46 (3). pp. 310-321. ISSN 0167-9260

Mukherjee, Subhankar ; Dasgupta, Pallab (2013) A fuzzy real-time temporal logic International Journal of Approximate Reasoning, 54 (9). pp. 1452-1470. ISSN 0888-613X

Mukherjee, Subhankar ; Dasgupta, Pallab (2012) Assertion aware sampling refinement: a mixed-signal perspective IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 31 (11). pp. 1772-1776. ISSN 0278-0070

Ghosh, Priyankar ; Hazra, Aritra ; Gonnabhaktula, Rahul ; Bhilegaonkar, Niraj ; Dasgupta, Pallab ; Mandal, Chittaranjan ; Paul, Krishna (2012) POWER-SIM: an SOC simulator for estimating power profiles of mobile workloads Journal of Low Power Electronics, 8 (3). pp. 293-303. ISSN 1546-1998

Ghosh, P. ; Sharma, A. ; Chakrabarti, P. P. ; Dasgupta, P. (2012) Algorithms for generating ordered solutions for explicit AND/OR structures Journal of Artificial Intelligence Research, 44 . pp. 275-333. ISSN 1076-9757

Hazra, Aritra ; Ghosh, Priyankar ; Dasgupta, Pallab ; Chakrabarti, Partha Pratim (2012) Cohesive coverage management: simulation meets formal methods Journal of Electronic Testing, 28 (4). pp. 449-468. ISSN 0923-8174

Mukherjee, Subhankar ; Dasgupta, Pallab (2012) Computing minimal debugging windows in failure traces of AMS assertions IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 31 (11). pp. 1776-1781. ISSN 0278-0070

Das, Sourasis ; Banerjee, Ansuman ; Dasgupta, Pallab (2012) Early analysis of critical faults: an approach to test generation from formal specifications IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 31 (3). pp. 447-451. ISSN 0278-0070

Ghosh, Priyankar ; Chakrabarti, P. P. ; Dasgupta, Pallab (2012) Execution ordering in AND/OR graphs with failure probabilities In: Fifth Annual Symposium on Combinatorial Search, 19-21 July 2012, Niagara Falls, Ontario, Canada.

Hazra, Aritra ; Dasgupta, Pallab ; Banerjee, Ansuman ; Harer, Kevin (2012) Formal methods for coverage analysis of architectural power states in power-managed designs In: 17th Asia and South Pacific Design Automation Conference, 30 January-2 February 2012, Sydney, Australia.

Mitra, S. ; Banerjee, A. ; Dasgupta, P. (2012) Formal methods for ranking counter examples through assumption mining In: 2012 Design, Automation & Test in Europe Conference & Exhibition (DATE), 12-16 March 2012, Dresden, Germany.

Mukherjee, R. ; Ghosh, P. ; Sravan Kumar, N. ; Dasgupta, P. ; Pal, A. (2012) Multi-objective low-power CDFG scheduling using fine-grained DVS architecture in distributed framework In: 2012 International Symposium on Electronic System Design (ISED), 19-22 Dec. 2012.

Mukherjee, R. ; Ghosh, P. ; Sravan Kumar, N. ; Dasgupta, P. ; Pal, A. (2012) Multi-objective low-power CDFG scheduling using fine-grained DVS architecture in distributed framework In: 2012 International Symposium on Electronic System Design (ISED), 19-22 December 2012, Kolkata, India.

Ghosh, Kamalesh ; Dasgupta, Pallab ; Ramesh, S. (2012) Planning with action prioritization and new benchmarks for classical planning In: 25th Australasian joint conference on Advances in Artificial Intelligence, 4-7 December 2012, Sydney, Australia.

Hazra, Aritra ; Ghosh, Priyankar ; Dasgupta, Pallab (2012) Reliability annotations to formal specifications of context-sensitive safety properties in embedded systems In: 2012 Forum on Specification and Design Languages (FDL), 18-20 September 2012, Vienna, Austria.

Roy, Suchismita ; Chakrabarti, P. P. ; Dasgupta, Pallab (2012) SAT based timing analysis for fixed and rise/fall gate delay models Integration, the VLSI Journal, 45 (4). pp. 357-364. ISSN 0167-9260

Mondal, Arijit ; Chakrabarti, P. P. ; Dasgupta, Pallab (2012) Symbolic-event-propagation-based minimal test set generation for robust path delay faults ACM Transactions on Design Automation of Electronic Systems, 17 (4). Article ID 47. ISSN 1084-4309

Mukherjee, Subhankar ; Dasgupta, Pallab ; Mukhopadhyay, Siddhartha ; Little, Scott ; Havlicek, John ; Chandrasekaran, Srikanth (2012) Synchronizing AMS Assertions with AMS Simulation: from theory to practice ACM Transactions on Design Automation of Electronic Systems, 17 (4). pp. 1-25. ISSN 1084-4309

Mitra, S. ; Ghosh, P. ; Dasgupta, P. (2012) Verification by parts: reusing component invariant checking results IET Computers & Digital Techniques, 6 (1). pp. 19-32. ISSN 1751-8601

Dobriyal, Arun ; Gonnabattula, Rahul ; Dasgupta, Pallab ; Mandal, Chittaranjan R. (2012) Workload driven power domain partitioning In: 16th International VLSI Design and Test Symposium, VDAT 2012, July 1-4, 2012, Shibpur, India.

Banerjee, A. ; Ray, S. ; Dasgupta, P. ; Chakrabarti, P. P. ; Ramesh, S. ; Vignesh, P. ; Ganesan, V. (2012) A dynamic assertion-based verification platform for validation of UML designs ACM SIGSOFT Software Engineering Notes, 37 (1). pp. 1-14. ISSN 0163-5948

Das, Sourasis ; Banerjee, Ansuman ; Dasgupta, Pallab (2012) A generalized theory for formal assertion coverage In: 2012 IEEE 21st Asian Test Symposium, Niigata, Japan, 19-22 November 2012.

Pal, Debjit ; Dasgupta, Pallab ; Mukhopadhyay, Siddhartha (2012) A library for passive online verification of analog and mixed-signal circuits In: 2012 25th International Conference on VLSI Design (VLSID), 7-11 January 2012, Hyderabad, India.

Mukherjee, Subhankar ; Dasgupta, Pallab ; Mukhopadhyay, Siddhartha (2011) Auxiliary specifications for context-sensitive monitoring of AMS assertions IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 30 (10). pp. 1446-1457. ISSN 0278-0070

Mukherjee, S ; Dasgupta, P (2011) Auxiliary state machines and auxiliary functions: constructs for extending AMS assertions In: 2011 24th Internatioal Conference on VLSI Design, 2-7 January 2011, Chennai, India.

Komuravelli, Anvesh ; Mitra, Srobona ; Banerjee, Ansuman ; Dasgupta, Pallab (2011) Backward reasoning with formal properties: a methodology for bug isolation on simulation traces In: 2011 Asian Test Symposium, 20-23 November 2011, New Delhi, India.

Ain, Antara ; Pal, Debjit ; Dasgupta, Pallab ; Mukhopadhyay, Siddhartha ; Mukhopadhyay, Rajdeep ; Gough, John (2011) Chassis: a platform for verifying pmu integration using autogenerated behavioral models ACM Transactions on Design Automation of Electronic Systems, 16 (3). Article ID 33. ISSN 1084-4309

Dixit, Manoj G. ; Ramesh, S. ; Dasgupta, Pallab (2011) Some results on parametric temporal logic Information Processing Letters, 111 (20). pp. 994-998. ISSN 0020-0190

Bera, P. ; Ghosh, S. K. ; Dasgupta, P. (2010) Integrated security analysis framework for an enterprise network - a formal approach IET Information Security, 4 (4). pp. 283-300. ISSN 1751-8709

Mondal, Arijit ; Chakrabarti, Partha ; Dasgupta, Pallab (2010) Accelerating synchronous sequential circuits using an adaptive clock In: 2010 23rd International Conference on VLSI Design, 3-7 January 2010, Bangalore, India.

Ain, Antara ; Dasgupta, Pallab (2010) Auto-generation of AMS behavioral models in different languages from hybrid automata In: 2010 IEEE Students Technology Symposium (TechSym), 3-4 April 2010, Indian Institute of Technology Kharagpur, Kharagpur, India.

Roy, Suchismita ; Chakrabarti, P. P. ; Dasgupta, Pallab (2010) Bounded delay timing analysis and power estimation using SAT Microelectronics Journal, 41 (5). pp. 317-324. ISSN 0026-2692

Hazra, Aritra ; Ghosh, Priyankar ; Dasgupta, Pallab ; Chakrabarti, Partha Pratim (2010) Coverage management with inline assertions and formal test points In: 2010 23rd International Conference on VLSI Design, 3-7 January 2010, Bangalore, India.

Hazra, Aritra ; Mitra, Srobona ; Dasgupta, Pallab ; Pal, Ajit ; Bagchi, Debabrata ; Guha, Kaustav (2010) Leveraging UPF-extracted assertions for modeling and formal verification of architectural power intent In: 2010 47th ACM/IEEE Design Automation Conference (DAC), 13-18 June 2010, Anaheim, CA, USA.

Bera, P. ; Ghosh, S. K. ; Dasgupta, Pallab (2010) Policy based security analysis in enterprise networks: a formal approach IEEE Transactions on Network and Service Management, 7 (4). pp. 231-243. ISSN 1932-4537

Dixit, Manoj G. ; Dasgupta, Pallab ; Ramesh, S. (2010) Taming the component timing: a CBD methodology for real-time embedded systems In: 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010), 8-12 March 2010, Dresden, Germany.

Bera, P. ; Ghosh, S. K. ; Dasgupta, Pallab (2010) A WLAN security management framework based on formal spatio-temporal RBAC model Security and Communication Networks, 4 (9). pp. 981-993. ISSN 1939-0114

Ghosh, Priyankar ; Dasgupta, Pallab (2010) A formal method for detecting semantic conflicts in protocols between services with different ontologies In: Second International Conference on Web & Semantic Technology (WeST-2010), 23-25 July 2010, Chennai, India.

Bera, P. ; Maity, Soumya ; Ghosh, S.K. ; Dasgupta, Pallab (2010) A query based formal security analysis framework for enterprise LAN In: 2010 10th IEEE International Conference on Computer and Information Technology, 29 June 2010-1 July 2010, Bradford, West Yorkshire, UK.

Bera, P. ; Ghosh, S. K. ; Dasgupta, Pallab (2010) A spatio-temporal role-based access control model for wireless LAN security policy management In: 4th International Conference on Information Systems, Technology and Management, ICISTM 2010, 11-13 March 2010, Bangkok, Thailand.

Mukhopadhyay, Rajdeep ; Komuravelli, Anvesh ; Dasgupta, Pallab ; Panda, S. K. ; Mukhopadhyay, Siddhartha (2010) A static verification approach for architectural integration of mixed-signal integrated circuits Integration, the VLSI Journal, 43 (1). pp. 58-71. ISSN 0167-9260

Mitra, Srobona ; Ain, Antara ; Ghosh, Priyankar ; Dasgupta, Pallab (2010) A study of modeling techniques in use in digital and mixed-signal domains for semi-formal verification In: 2010 IEEE Students Technology Symposium (TechSym), 3-4 April 2010, IIT Kharagpur, West Bengal, India.

Ghosh, Priyankar ; Ramesh, B ; Banerjee, Ansuman ; Dasgupta, Pallab (2009) Abstraction refinement for state space partitioning based on auxiliary state machines In: TENCON 2009 - 2009 IEEE Region 10 Conference, 23-26 January 2009, Singapore.

Sinha, Arnab ; Dasgupta, Pallab ; Pal, Bhaskar ; Das, Sayantan ; Basu, Prasenjit ; Chakrabarti, P. P. (2009) Design intent coverage revisited ACM Transactions on Design Automation of Electronic Systems, 14 (1). No pp. given. ISSN 1084-4309

Das, Sourasis ; Dasgupta, Pallab ; Banerjee, Ansuman ; Das, Partha Pratim (2009) Directed automated symbolic verification of formal properties with local variables In: TENCON 2009 - 2009 IEEE Region 10 Conference, 23-26 January 2009, Singapore.

Bera, P. ; Ghosh, S. K. ; Dasgupta, Pallab (2009) Fault analysis of security policy implementations in enterprise networks In: 2009 First International Conference on Networks & Communications, 27-29 December 2009, Chennai, India.

Bera, P ; Dasgupta, Pallab ; Ghosh, S K (2009) Formal analysis of security policy implementations in enterprise networks International Journal of Computer Networks & Communications, 1 (2). pp. 56-73. ISSN 0975-2293

Bera, P. ; Ghosh, S. K. ; Dasgupta, Pallab (2009) Formal verification of security policy implementations in enterprise networks In: 5th International Conference on Information Systems Security, ICISS 2009, 14-18 December 2009, Kolkata, India.

Mukherjee, Subhankar ; Dasgupta, Pallab (2009) Incorporating local variables in mixed-signal assertions In: TENCON 2009 - 2009 IEEE Region 10 Conference, 23-26 January 2009, Singapore.

Mitra, Srobona ; Ghosh, Priyankar ; Dasgupta, Pallab ; Chakrabarti, Partha P. (2009) Incremental verification techniques for an updated architectural specification In: 2009 Annual IEEE India Conference, 18-20 December 2009, Gandhinagar, Gujarat, India.

Hazra, Aritra ; Ghosh, Priyankar ; Dasgupta, Pallab ; Chakrabarti, Partha Pratim (2009) Inline assertions - embedding formal properties in a test bench In: 2009 22nd International Conference on VLSI Design, 5-9 January 2009, New Delhi, India.

Mukhopadhyay, Rajdeep ; Panda, S. K. ; Dasgupta, Pallab ; Gough, John (2009) Instrumenting AMS assertion verification on commercial platforms ACM Transactions on Design Automation of Electronic Systems, 14 (2). pp. 1-47. ISSN 1084-4309

Mukherjee, Subhankar ; Ain, Antara ; Panda, S. K. ; Mukhopadhyay, Rajdeep ; Dasgupta, Pallab (2009) A formal approach for specification-driven AMS behavioral model generation In: 2009 Design, Automation & Test in Europe Conference & Exhibition, 20-24 April 2009, Nice, France.

Bera, P. ; Dasgupta, Pallab ; Ghosh, S. K. (2009) A verification framework for analyzing security implementations in an enterprise LAN In: 2009 IEEE International Advance Computing Conference, 6-7 March 2009, Thapar University, Patiala, India.

Roy, S. ; Chakrabarti, P. P. ; Dasgupta, P. (2008) Satisfiability models for maximum transition power IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 16 (8). pp. 941-951. ISSN 1063-8210

Pal, Bhaskar ; Banerjee, Ansuman ; Sinha, Arnab ; Dasgupta, Pallab (2008) Accelerating assertion coverage with adaptive testbenches IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 27 (5). pp. 967-972. ISSN 0278-0070

Banerjee, Ansuman ; Dasgupta, Pallab ; Chakrabarti, P. P. (2008) Auxiliary state machines + context-triggered properties in verification ACM Transactions on Design Automation of Electronic Systems, 13 (4). No pp. given. ISSN 1084-4309

Banerjee, Ansuman ; Datta, Kausik ; Dasgupta, Pallab (2008) CheckSpec: a tool for consistency and coverage analysis of assertion specifications In: 6th International Symposium on Automated Technology for Verification and Analysis, ATVA 2008, 20-23 October 2008, Seoul, South Korea.

Banerjee, A. ; Ray, S. ; Dasgupta, P. ; Chakrabarti, P. P. ; Ramesh, S. ; Vignesh, P. ; Ganesan, V. (2008) A dynamic assertion-based verification platform for UML statecharts over rhapsody In: TENCON 2008 - 2008 IEEE Region 10 Conference, 19-21 November 2008, University of Hyderabad, Hyderabad, India.

Pal, Bhaskar ; Banerjee, Ansuman ; Dasgupta, Pallab ; Chakrabarti, P. P. (2007) BUSpec: a framework for generation of verification aids for standard bus protocol specifications Integration, the VLSI Journal, 40 (3). pp. 285-304. ISSN 0167-9260

Roy, Suchismita ; Chakrabarti, P. P. ; Dasgupta, Pallab (2007) Bounded delay timing analysis using boolean satisfiability In: 20th International Conference on VLSI Design held jointly with 6th International Conference on Embedded Systems (VLSID'07), 6-10 January 2007.

Roy, Suchismita ; Chakrabarti, P. P. ; Dasgupta, Pallab (2007) Event propagation for accurate circuit delay calculation using SAT ACM Transactions on Design Automation of Electronic Systems, 12 (3). Article ID 36. ISSN 1084-4309

Pal, B. ; Sinha, A. ; Dasgupta, P. ; Chakrabarti, P. P. ; De, K. (2007) Hardware accelerated constrained random test generation IET Computers & Digital Techniques, 1 (4). p. 423. ISSN 1751-8601

Mondal, A. ; Chakrabarti, P. P. ; Dasgupta, P. (2007) Statistical static timing analysis using symbolic event propagation IET Circuits, Devices & Systems, 1 (4). pp. 283-291. ISSN 1751-858X

Mondal, Arijit ; Chakrabarti, P. P. ; Dasgupta, Pallab (2007) Timing analysis of sequential circuits using symbolic event propagation In: International Conference on Computing: Theory and Applications, 2007 ICCTA '07, 5-7 March 2007, Kolkata, India.

Ray, Sayak ; Dasgupta, Pallab ; Chakrabarti, P. P. (2007) A new pseudo-Boolean satisfiability based approach to power mode schedulability analysis In: 20th International Conference on VLSI Design held jointly with 6th International Conference on Embedded Systems (VLSID'07), 6-10 January 2007.

Basu, P. ; Das, S. ; Banerjee, A. ; Dasgupta, P. ; Chakrabarti, P. P. ; Mohan, C. R. ; Fix, L. ; Armoni, R. (2006) Design-intent coverage-a new paradigm for formal property verification IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 25 (10). pp. 1922-1934. ISSN 0278-0070

Ray, Sayak ; Dasgupta, Pallab ; Chakrabarti, P. P. (2006) Formal verification of power scheduling policies for battery powered mobile systems In: 2006 Annual IEEE India Conference, 15-17 September 2006, New Delhi, India.

Das, S. ; Chakrabarti, P. P. ; Dasgupta, P. (2006) Instruction-set-extension exploration using decomposable heuristic search In: 19th International Conference on VLSI Design held jointly with 5th International Conference on Embedded Systems Design VLSI Design 2006, 3-7 January 2006.

Pal, Bhaskar ; Dasgupta, Pallab ; Chakrabarti, P. (2006) Property driven test generation in absence of direct interface In: 2006 Annual IEEE India Conference, 15-17 September 2006, New Delhi, India.

Das, S. ; Mohanty, R. ; Dasgupta, P. ; Chakrabarti, P. P. (2006) Synthesis of system verilog assertions In: Design, Automation and Test in Europe, 2006 - DATE '06, 6-10 March 2006, Munich, Germany.

Banerjee, Ansuman ; Pal, Bhaskar ; Das, Sayantan ; Kumar, Abhijeet ; Dasgupta, Pallab (2006) Test generation games from formal specifications In: 2006 43rd ACM/IEEE Design Automation Conference, 24-28 July 2006, San Francisco, CA.

Chakraborty, Diganchal ; Chakrabarti, P. P. ; Mondal, Arijit ; Dasgupta, Pallab (2006) A framework for estimating peak power in gate-level circuits In: 16th International Workshop on Power and Timing Modeling, Optimization and Simulation, PATMOS 2006, 13-15 September 2006, Montpellier, France.

Das, Sayanlan ; Banerjee, Ansuman ; Basu, Prasenjit ; Dasgupta, Pallab ; Chakrabarti, P. P. ; Mohan, Chunduri Rama ; Fix, L. (2005) Formal methods for analyzing the completeness of an assertion suite against a high-level fault model In: 18th International Conference on VLSI Design held jointly with 4th International Conference on Embedded Systems Design, 3-7 January 2005.

Nandi, A. ; Pal, B. ; Chhetan, N. ; Dasgupta, P. ; Chakrabarti, P. P. (2005) H-DBUG: a high-level debugging framework for protocol verification using assertions In: 2005 Annual IEEE India Conference - Indicon, 11-13 December 2005.

Banerjee, A. ; Chakravorty, S. ; Pal, B. ; Dasgupta, P. (2005) Interactive test-bench synthesis for assertion-based verification In: 2005 Annual IEEE India Conference - Indicon, 11-13 December 2005.

Basu, P. ; Dasgupta, P. ; Chakrabarti, P. P. (2005) Syntactic transformation of assume-guarantee assertions: from sub-modules to modules In: 18th International Conference on VLSI Design held jointly with 4th International Conference on Embedded Systems Design, 3-7 January 2005.

Banerjee, Ansuman ; Dasgupta, Pallab (2005) The open family of temporal logics: annotating temporal operators with input constraints ACM Transactions on Design Automation of Electronic Systems, 10 (3). pp. 492-522. ISSN 1084-4309

Basu, P. ; Dasgupta, P. ; Chakrabarti, P. P. ; Mohan, C. R. (2004) Property refinement techniques for enhancing coverage of formal property verification In: 17th International Conference on VLSI Design, 2004, 9 January 2004.

Banerjee, A. ; Pal, B. ; Kamarapu, C. ; Dasgupta, P. ; Chakrabarti, P. P. ; Jha, M. (2004) Assertion based verification: have I written enough properties? In: IEEE INDICON 2004. First India Annual Conference, 2004, 20-22 December 2004.

Pal, Bhaskar ; Banerjee, Ansuman ; Dasgupta, Pallab ; Chakrabarti, P. P. (2004) The BUSpec platform for automated generation of verification aids for standard bus protocols In: Second ACM and IEEE International Conference on Formal Methods and Models for Co-Design, 2004. MEMOCODE '04, 23-25 June 2004, San Diego, CA, USA.

Basu, P. ; Das, S. ; Dasgupta, P. ; Chakrabarti, P. P. ; Mohan, C. R. ; Fix, L. (2004) Formal verification coverage: are the RTL-properties covering the design's architectural intent? In: Design, Automation and Test in Europe Conference and Exhibition, 2004, 16-20 February 2004, Paris, France.

Chatterjee, Krishnendu ; Dasgupta, Pallab ; Chakrabarti, P. P. (2004) The power of first-order quantification over states in branching and linear time temporal logics Information Processing Letters, 91 (5). pp. 201-210. ISSN 0020-0190

Banerjee, A. ; Dasgupta, P. ; Chakrabarti, P. (2003) Open computation tree logic with fairness In: Proceedings of the 2003 International Symposium on Circuits and Systems, 2003. ISCAS '03, 25-28 May 2003, Bangkok, Thailand.

Dasgupta, Pallab ; Chakrabarti, P. P. ; Deka, Jatindra Kumar (2002) Min-max event-triggered computation tree logic Sadhana, 27 (2). pp. 163-180. ISSN 0256-2499

Dasgupta, P. ; Chakrabarti, P. P. ; Nandi, A. ; Krishna, S. ; Chakrabarti, A. (2001) Abstraction of word-level linear arithmetic functions from bit-level component descriptions In: Design, Automation and Test in Europe. Conference and Exhibition 2001, 13-16 March 2001, Munich, Germany.

Deka, J. K. ; Chaki, S. ; Dasgupta, P. ; Chakrabarti, P. P. (2001) Abstractions for model checking of event timings In: The 2001 IEEE International Symposium on Circuits and Systems, ISCAS 2001, 6-9 May 2001, Sydney, NSW, Australia.

Sriram, S. ; Tandon, R. ; Dasgupta, P. ; Chakrabarti, P. P. (2001) Symbolic verification of Boolean constraints over partially specified functions In: The 2001 IEEE International Symposium on Circuits and Systems, 2001. ISCAS 2001, 6-9 May 2001, Sydney, NSW, Australia.

Das, Prashanti ; Das, Dibyendu ; Dasgupta, Pallab (1999) Adaptive algorithms for scheduling static task graphs in dynamic distributed systems In: 6th International Conference on High Performance Computing - HiPC'99, 17-20 December 1999, Calcutta, India.

Chakrabarti, P. P. ; Dasgupta, P. ; Das, P. P. ; Roy, A. ; Lahiri, S. ; Bose, M. (1999) Controlling state explosion in static simulation by selective composition In: Twelfth International Conference on VLSI Design 1999, 7-10 January 1999.

Chauhan, P. ; Dasgupta, P. ; Chakrabarti, P. P. (1999) Exploiting isomorphism for compaction and faster simulation of binary decision diagrams In: Twelfth International Conference on VLSI Design 1999, 7-10 January 1999.

Deka, J. K. ; Dasgupta, P. ; Chakrabarti, P. P. (1999) An efficiently checkable subset of TCTL for formal verification of transition systems with delays In: Twelfth International Conference on VLSI Design 1999, 7-10 January 1999.

Dasgupta, Pallab (1998) Agreement under faulty interfaces Information Processing Letters, 65 (3). pp. 125-129. ISSN 0020-0190

Das, Dibyendu ; Dasgupta, Pallab ; Das, P. P. (1998) A heuristic for the maximum processor requirement for scheduling layered task graphs with cloning Journal of Parallel and Distributed Computing, 49 (2). pp. 169-181. ISSN 0743-7315

Dasgupta, Pallab ; Majumder, A. K. ; Bhattacharya, P. (1997) V_THR: an adaptive load balancing algorithm Journal of Parallel and Distributed Computing, 42 (2). pp. 101-108. ISSN 0743-7315

Das, D. ; Dasgupta, P. ; Das, P. P. (1997) A new method for transparent fault tolerance of distributed programs on a network of workstations using alternative schedules In: 3rd International Conference on Algorithms and Architectures for Parallel Processing, 1997. ICAPP 97, 12 December 1997, Melbourne, Victoria, Australia.

Dasgupta, Pallab ; Chakrabarti, P. P. ; DeSarkar, S. C. (1996) Agent search in uniform b-ary trees: multiple goals and unequal costs Information Processing Letters, 58 (6). pp. 311-318. ISSN 0020-0190

Dasgupta, Pallab ; Chakrabarti, P. P. ; Desarkar, S. C. (1996) Heuristic search strategies for multiobjective state space search Sadhana (Academy Proceedings in Engineering Sciences), 21 (3). pp. 263-290. ISSN 0256-2499

Dasgupta, Pallab ; Chakrabarti, P. P. ; DeSarkar, S. C. (1996) A new competitive algorithm for agent searching in unknown streets In: 16th Conference on Foundations of Software Technology and Theoretical Computer Science, 18–20 December 1996, Hyderabad, India.

Dasgupta, Pallab ; Chakrabarti, P. P. ; DeSarkar, S. C. (1995) A near optimal algorithm for the extended cow-path problem in the presence of relative errors In: 15th Conference on Foundations of Software Technology and Theoretical Computer Science, 18–20 December 1995, Bangalore, India.

This list was generated on Wed May 1 05:07:19 2024 UTC.