Items where Author is "Banerjee, Ansuman"

Up a level
Export as [feed] Atom [feed] RSS 1.0 [feed] RSS 2.0
Group by: Item Type | No Grouping
Number of items: 19.

Article

Mitra, Srobona ; Banerjee, Ansuman ; Dasgupta, Pallab ; Kumar, Harish (2013) Counterexample ranking using mined invariants IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 32 (12). pp. 1978-1991. ISSN 0278-0070

Mitra, Srobona ; Banerjee, Ansuman ; Dasgupta, Pallab ; Ghosh, Priyankar ; Kumar, Harish (2013) Formal guarantees for localized bug fixes IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 32 (8). pp. 1274-1287. ISSN 0278-0070

Hazra, Aritra ; Mukherjee, Rajdeep ; Dasgupta, Pallab ; Pal, Ajit ; Harer, Kevin M. ; Banerjee, Ansuman ; Mukherjee, Subhankar (2013) POWER-TRUCTOR: an integrated tool flow for formal verification and coverage of architectural power intent IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 32 (11). pp. 1801-1813. ISSN 0278-0070

Das, Sourasis ; Banerjee, Ansuman ; Dasgupta, Pallab (2012) Early analysis of critical faults: an approach to test generation from formal specifications IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 31 (3). pp. 447-451. ISSN 0278-0070

Pal, Bhaskar ; Banerjee, Ansuman ; Sinha, Arnab ; Dasgupta, Pallab (2008) Accelerating assertion coverage with adaptive testbenches IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 27 (5). pp. 967-972. ISSN 0278-0070

Banerjee, Ansuman ; Dasgupta, Pallab ; Chakrabarti, P. P. (2008) Auxiliary state machines + context-triggered properties in verification ACM Transactions on Design Automation of Electronic Systems, 13 (4). No pp. given. ISSN 1084-4309

Banerjee, Ansuman ; Dasgupta, Pallab ; Chakrabarti, P. P. (2008) Auxiliary state machines + context-triggered properties in verification ACM Transactions on Design Automation of Electronic Systems, 13 (4). pp. 1-31. ISSN 1084-4309

Pal, Bhaskar ; Banerjee, Ansuman ; Dasgupta, Pallab ; Chakrabarti, P. P. (2007) BUSpec: a framework for generation of verification aids for standard bus protocol specifications Integration, the VLSI Journal, 40 (3). pp. 285-304. ISSN 0167-9260

Banerjee, Ansuman ; Dasgupta, Pallab (2005) The open family of temporal logics: annotating temporal operators with input constraints ACM Transactions on Design Automation of Electronic Systems, 10 (3). pp. 492-522. ISSN 1084-4309

Chakrabarti, Arindam ; Dasgupta, Pallab ; Chakrabarti, P. P. ; Banerjee, Ansuman (2002) Formal verification of module interfaces against real time specifications Proceedings-Design Automation Conference . pp. 141-145. ISSN 0738-100X

Conference or Workshop Item

Hazra, Aritra ; Dasgupta, Pallab ; Banerjee, Ansuman ; Harer, Kevin (2012) Formal methods for coverage analysis of architectural power states in power-managed designs In: 17th Asia and South Pacific Design Automation Conference, 30 January-2 February 2012, Sydney, Australia.

Das, Sourasis ; Banerjee, Ansuman ; Dasgupta, Pallab (2012) A generalized theory for formal assertion coverage In: 2012 IEEE 21st Asian Test Symposium, Niigata, Japan, 19-22 November 2012.

Komuravelli, Anvesh ; Mitra, Srobona ; Banerjee, Ansuman ; Dasgupta, Pallab (2011) Backward reasoning with formal properties: a methodology for bug isolation on simulation traces In: 2011 Asian Test Symposium, 20-23 November 2011, New Delhi, India.

Ghosh, Priyankar ; Ramesh, B ; Banerjee, Ansuman ; Dasgupta, Pallab (2009) Abstraction refinement for state space partitioning based on auxiliary state machines In: TENCON 2009 - 2009 IEEE Region 10 Conference, 23-26 January 2009, Singapore.

Das, Sourasis ; Dasgupta, Pallab ; Banerjee, Ansuman ; Das, Partha Pratim (2009) Directed automated symbolic verification of formal properties with local variables In: TENCON 2009 - 2009 IEEE Region 10 Conference, 23-26 January 2009, Singapore.

Banerjee, Ansuman ; Datta, Kausik ; Dasgupta, Pallab (2008) CheckSpec: a tool for consistency and coverage analysis of assertion specifications In: 6th International Symposium on Automated Technology for Verification and Analysis, ATVA 2008, 20-23 October 2008, Seoul, South Korea.

Banerjee, Ansuman ; Pal, Bhaskar ; Das, Sayantan ; Kumar, Abhijeet ; Dasgupta, Pallab (2006) Test generation games from formal specifications In: 2006 43rd ACM/IEEE Design Automation Conference, 24-28 July 2006, San Francisco, CA.

Das, Sayanlan ; Banerjee, Ansuman ; Basu, Prasenjit ; Dasgupta, Pallab ; Chakrabarti, P. P. ; Mohan, Chunduri Rama ; Fix, L. (2005) Formal methods for analyzing the completeness of an assertion suite against a high-level fault model In: 18th International Conference on VLSI Design held jointly with 4th International Conference on Embedded Systems Design, 3-7 January 2005.

Pal, Bhaskar ; Banerjee, Ansuman ; Dasgupta, Pallab ; Chakrabarti, P. P. (2004) The BUSpec platform for automated generation of verification aids for standard bus protocols In: Second ACM and IEEE International Conference on Formal Methods and Models for Co-Design, 2004. MEMOCODE '04, 23-25 June 2004, San Diego, CA, USA.

This list was generated on Sun Jun 30 16:44:01 2024 UTC.